Подтвердить что ты не робот

Программирование VHDL в Linux?

Кто-нибудь знает хорошую среду для программирования VHDL и имитации ее (не имеет значения Xilinx или Altera) с использованием Linux?

4b9b3361

Ответ 1

Вы застряли в инструментах поставщиков, которые в лучшем случае лучше всего работают на Linux (хотя мой опыт работы с утилитами Alteras несколько лучше, чем с ISE).

Однако, если все, что вы хотите сделать, это запустить тестовый стенд, а не синтезировать что-либо, ghdl будет полезен.

Ответ 2

Как уже упоминалось Арпаном (почти), каждый симулятор VHDL поддерживается Linux, но они, как правило, очень дороги. Лучше всего использовать один из следующих вариантов:

  • Altera DS Web edition (добавлена ​​поддержка Linux), которая поставляется со свободной версией ModelSim.
  • Symphony EDA Sonata 3.1 доступна в виде бесплатной версии с ограниченной поддержкой.
  • Xilinx ISE, который поставляется с собственным симулятором Xilinxs: ISim.

Ответ 3

Если вы предпочитаете инструменты с открытым исходным кодом, загляните в http://www.cliftonlabs.com/vhdl/savant.html - vhdl в настоящее время не имеет слишком много опций в пространстве FOSS. Если вы выбираете инструменты для поставщиков, все производители будут использовать Linux-версию своих симуляторов. Modelsim - это личный фаворит из-за легко отлаживаемых функций, которыми он занимается.

Для среды кодирования я обычно использую VIM с включенными синтаксическими анализаторами vhdl. Sigasi, очевидно, имеет коммерческую IDE с причудливым материалом, как автоматическое завершение кода, проверку синтаксиса на лету и т.д.

Сообщите нам, если у вас есть дополнительные вопросы.

Arpan

Ответ 4

GHDL - хороший симулятор для VHDL и даже работает с некоторыми сторонними библиотеками (например, Xilinx UNISIMS).

Если вы объедините его с gtkwave, у вас должен быть полный рабочий VHDL-симулятор и средство просмотра осциллограмм.

GHDL также поддерживает запись в формы .GHW, которые являются фантастическими для небольших симуляций, потому что они включают (часто) все сигналы в вашем дизайне.

Я использовал их со стандартным RTL-симуляцией, а также для моделирования после синтеза с помощью UNISIMs Xilinx.

Оба должны быть доступны в вашем репозитории дистрибутива Linux. Если нет, вы можете получить их из:

GHDL: http://ghdl.free.fr/

GtkWave: http://gtkwave.sourceforge.net/

Ответ 5

Для кодирования vhdl Emacs с vhdl-режимом является обязательным. Вы можете использовать расширенные функции выпуска, просматривать структуру проектов, управлять архитектурами и конфигурациями. Вы можете настроить несколько тренажеров.

Я использую modelsim из веб-издания Altera Quartus. Опасайтесь, если вы используете 64-разрядный Linux, эта версия modelsim имеет только 32 бита.

Ответ 6

Теперь есть QUCS (http://qucs.sourceforge.net/). Он является открытым исходным кодом и основан на FreeHDL.

Он может использоваться для проектирования и моделирования схем с использованием графического интерфейса. И он также может имитировать VHDL. Хотя для этого вы также должны проектировать компоненты схемы в графической области.

Это видео ясно показывает: https://www.youtube.com/watch?v=-RrQlzLsf18

Я использую linux ppa на Debian Jessie, и он отлично работает.