Подтвердить что ты не робот

Что использовать для моделирования VHDL/цифровой логики в Mac OS X

Я вдруг понял, что нет Altera Quartus или Xilins ISE или ModelSim в Mac OS X.

Что люди используют, чтобы хотя бы имитировать VHDL и схематические проекты на Mac?

4b9b3361

Ответ 1

Попробуйте GHDL (альтернативная ссылка: at ghdl.free.fr).

С сайта:

GHDL - это симулятор с открытым исходным кодом для языка VHDL. GHDL позволяет вы должны скомпилировать и выполнить свой код VHDL непосредственно на вашем ПК. В сочетании с графическим редактором на основе графического интерфейса и хорошим текстовым редактором VHDL, GHDL - очень мощный инструмент для написания, тестирования и моделирования ваших Код VHDL.

GHDL основан на очень популярном компиляторе GNU GCC и работает на Linux, Windows и Apple OS X. Вы можете свободно загружать бинарный дистрибутив для вашей ОС или попытаться скомпилировать GHDL на вашей собственной машине.

Ответ 2

Я использую aquamacs для ввода дизайна. Для verilog я использую icarus и gtkwave.

Я не пробовал GHDL, я запускал безгонный Linux-сервер через VirtualBox и запускал modelsim через ssh с пересылкой X11. Это также работает для ISE и Quartus.

Обратите внимание, что для переадресации X11 на Mac вам нужно включить доверенный в своей конфигурации

X11Forwarding     yes
ForwardX11Trusted yes

или в командной строке

ssh -Y

Сообщите мне, как вы поживаете.

Ответ 3

Что большинство людей использует Parallels (или другое средство виртуализации), так что они могут использовать ModelSim на Parallels на своем Mac. Некоторые люди просто SSH для Linux-сервера компании, который запускает симулятор.

Еще один интересный способ - www.plunify.com. Это сервис, который запускает ваши симуляции в облаке и посылает вам ваши результаты.

Наконец, я слышал, что есть коммерческий симулятор VHDL, который работает на Mac OS X: DirectVHDL. У этого есть ограничения, но это может быть достаточно хорошим, чтобы начать.